reDIP SID

Das reDIP SID ist ein Open-Source-Hardware-Entwicklungsboard, das Folgendes in einem DIP-28-Chip kombiniert:

Gitter iCE40UP5K FPGA

NXP SGTL5000 Audiocodec

128-Mbit-FLASH / 64-Mbit-PSRAM

USB-C-Anschluss für Strom und FPGA Full Speed USB

5V tolerante E/A

Das reDIP SID bietet eine voll funktionsfähige Open-Source-Hardwareplattform für die MOS 6581/8580 SID-Emulation.

Das reDIP SID zielt auch darauf ab, eine gute, schnörkellose generische Wahl für FPGA-Projekte zu sein, die möglicherweise für Audio- und/oder 5-V-tolerante E/A verwendet werden - es sollte ideal sein für z. Retro-Computer- und Synthesizer-Projekte.

Das Board wird vom DFU Nitro Bootloader unterstützt, was einfache Updates über USB mit z.B. dfu-util.


Erste Aufnahmen gibt es hier

< reDIP SID Recordings >